본문 바로가기

컴퓨터구조

컴퓨터구조: 산술 논리 연산 장치

728x90
반응형

산술 논리 연산 장치(Arithmetic Logic Unit, ALU)는 산술 연산과 논리 연산을 처리하는 프로세서의 일부이다.

ALU는 명령어에 따라 산술연산이나 논리 연산 중 하나를 실행한다.

산술 연산

산술 연산은 가산기를 기반으로 동작한다.

뺄셈은 2의 보수를 만든 후 더하면 되고 곱셈과 나눗셈은 덧셈과 시프트를 반복하여 계산한다.

논리 연산과 시프트 연산

논리 연산은 2진 비트 단위로 이루어진다.

피연산자끼리 해당 비트를 논리 연산한다.

비트 연산은 특정 비트 값을 제거하거나 저장할 수 있고, 반전시킬 수도 있어 영상처리에 사용할 수 있다.

 

반응형